CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - spi project

搜索资源列表

  1. cc2500

    0下载:
  2. RFcc2500的发射源代码,本人作为项目应用于游戏手柄中,可直接使用。硬件SPI口通信,包括跳频的处理和时序。-RFcc2500 emission source code, I used as a game controller in the project can be used directly.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3372
    • 提供者:qwwqe
  1. ms5540avr

    0下载:
  2. 气压传感器 ms5540c ,的avr驱动模块源码, spi接口,用于项目-Pressure sensor ms5540c, the avr drive module source, spi interface for project
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:3245
    • 提供者:fuhaoping
  1. ES6461

    1下载:
  2. 这是ESS公司的新一代媒体播放IC,支持接口,硬盘/IDE光驱,USB,CF card, SD/MMC/MS/XD/SMARTMEDIA, 等,该系统集成度高,整个系统只需要主控ES6461+SDRAM+SPI FLAH,所有的音频DA,视频输出都集成化,可直接驱动数字屏,支持NTFS 和FAT32 文件系统, 输出有 CVBS,SVIDEO,VGA,YPBPR /HDMI, 是比较理想的媒体播放和数码相框方案.系统开放度高如有需要,联系wliness@163.com, or QQ:632913
  3. 所属分类:Multimedia program

    • 发布日期:2017-05-27
    • 文件大小:10244880
    • 提供者:wlj
  1. FRAM

    1下载:
  2. 基于STM32处理器SPI接口的FRAM存储器程序,项目中用到的,原创,读写等基本函数均已写好,可直接使用-STM32 processor SPI interface based on FRAM memory process, the project used, original, read and write basic functions have been written, can be used directly
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-21
    • 文件大小:1832
    • 提供者:张祝
  1. ex5_SPI

    0下载:
  2. 程序所在目录:ex5_SPI D/A 转换芯片选用的是SPI 接口的TLC5620,串行4 通道8 位DA 转换。 打开CC2000,进行如下操作: 1.Project->Open ,打开该目录中的工程文件。 2.Project->Rebuild ALL,编译链接 3.File->Load Program 4.Debug->GO Main 5.Debug->RUN (快捷键F5) 用示波器可以看到DA_OUT1 接口输出三角波
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:31973
    • 提供者:To_oo
  1. userial-firmware-v1.6

    0下载:
  2. userial is an Free project building an USB to I2C/SPI/GPIO bridge, using the Atmel AT90USB647 chip. Hardware and Software are released under an Open Source licence. It supports the following interfaces: * USB interface (serial emulation) *
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:618560
    • 提供者:keytronik
  1. McuSpi

    0下载:
  2. ARM-Cortex-M3单片机SPI驱动源码,已在项目中验证ok,有需要的底层开发者欢迎下载,希望对您有帮助。-ARM-Cortex-M3-driven single-chip SPI source, verify that the project has been ok, there is a need to welcome to the bottom of the developer to download, I hope for your help.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:3203
    • 提供者:光辉
  1. ruanjianguochengmiaoshu

    0下载:
  2. 软件组织在进行软件过程改善(简称SPI)时,其核心工作之一就是建立和维护组织的软件过程财富。所谓软件过程财富,顾名思义,就是组织在SPI过程中通过积累而得到的用于指导软件项目的过程文档和数据等重要信息。-Software organizations conducting software process improvement (hereinafter referred to SPI), its one of the core is to build and maintain the organ
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:23306
    • 提供者:mashm
  1. PT22726

    0下载:
  2. For this project you need a 3.7v power supply that prepared by LM317 regulator. So you need MAX232 for connect uart to pc .my AVR chip project is Mega8 that you can program on any Avr chip have 2kbyte rom. In bascom spi hardware don’t work correct, s
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:17368
    • 提供者:Mohammad
  1. MAIN

    0下载:
  2. this a file used for the spi communication between spi1 and spi2 of dspic30f6014. this is the main file which is heart of this project.-this is a file used for the spi communication between spi1 and spi2 of dspic30f6014. this is the main file
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1360
    • 提供者:valiantyasir
  1. v

    0下载:
  2. 个人防火墙核心技术是数据包的截获,通过SPI 服务提供者接口进行网络数据包( Service Provider Interface) 截获能够最完备地得到进程访网信息. 一个功能完备的个人防火墙系统不仅能够封 包截获,还应能解析协议、支持自定义控管规则以及日志记录随时的网络通信状况. 本项目遵循SPI 规 范完整实现了上述功能,给出了一个Windows 下的个人防火墙系统实现过程中的技术要点分析.-Personal firewall core technology is interc
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:274379
    • 提供者:李志勇
  1. LPC2131

    0下载:
  2. 基于LPC2131的功能部件进行开发,包括GPIO,IIC,SPI,UART等各种功能接口的开发,完整的工程文件,下载后稍作修改即可运行。-Functional components based on LPC2131 development, including GPIO, IIC, SPI, UART interfaces and other features the development of a complete project file, download a little modif
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1784799
    • 提供者:linliu
  1. SPI_Bridge_Design_Example

    0下载:
  2. 基于ALTERA的nios2的SPI通信,文档包含整个工程,包括主从模式,很有参考价值。-Based on ALTERA' s nios2 the SPI communication, the document contains the entire project, including master-slave mode, a good reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:282736
    • 提供者:米多
  1. spi16877

    0下载:
  2. PIC单片机16877a的SPI驱动,包括仿真文件,C源文件和MPLab项目文件-PIC microcontroller' s SPI driver 16877a, including the simulation file, C source files and project files MPLab
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:103879
    • 提供者:左自贤
  1. FinalMaster

    0下载:
  2. This a project created for an embedded systems class. The project used two microcontrollers to send and receive CAN messages. (Atmel AT90CAN32). Included are the source files for each. The c files allow CAN message reception and transmission, as well
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:67705
    • 提供者:Chad
  1. SPIIO

    0下载:
  2. 本源码是本人自己做项目的过程中用普通I/O口模拟SPI串行数据传输协议所编的程序,可以控制ISD4004语音芯片分段录音、放音。-The source is my own project process using common I/O port simulation SPI serial data transmission protocol of the compiled program that can control the ISD4004 voice chip segment recor
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:944
    • 提供者:zhang
  1. USB_SPI

    0下载:
  2. 我自己写的.在stm32f103c8上实现USB稳定通信.该项目很简单.根据USB上获取的命令通过SPI接口与外部设备操作.请使用该USB程序时写出出处.谢谢!-I wrote it myself. USB to achieve stability in the stm32f103c8 communication. The project is simple. Acquired under the USB command on the interface with external device
  3. 所属分类:USB编程

    • 发布日期:2014-04-09
    • 文件大小:2013545
    • 提供者:吴玉怀
  1. adc_-Channel_1-difference-on-LEDs

    0下载:
  2. This project is a simple demonstration of mcp3204 with P16F877A with minor adjustments, it should work with any other PIC MCU that has SPI module on portc. Example for reading values from ADC s, and sending them to LED s. MCU reads value
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:19793
    • 提供者:ahmad
  1. C51_93C46

    0下载:
  2. AT89C51/52单片机模拟SPI总线,驱动1k的EEPROM存储芯片的程序,已经封装好,直接在工程中添加93C46.C文件,并且在主函数中#include 93C46.H-AT89C51/52 chip analog SPI bus, the driver 1k of EEPROM program memory chips, have a good package, add 93C46.C directly in the project file, and in the main funct
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:910
    • 提供者:袁某
  1. PIC16F690-GPS-Data-Logger

    0下载:
  2. Complete GPS Datalogger Project using PIC16F690. It uses a standard SD CARD in SPI mode as the memory to store the GPS data. Does not uses a FAT File System though.
  3. 所属分类:GPS develop

    • 发布日期:2017-03-26
    • 文件大小:952308
    • 提供者:Sougata Das
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com